[1] NAFFZIGER S,STACKHOUSE B,GRUTKOWSKI T,et al.The implementation of a 2-core,multi-threaded itanium family processor[J].IEEE Journal of Solid-State Circuits,2006,41(1):197-209.
[2] HUANG Juihung,Lin Yucheng,CHENG Weikai,et al.Unified approach for simultaneous functional and timing ECO[J].Iet Circuits Devices & Systems,2016,10(6):514-521.
[3] WINTERSTEIN Felix,FLEMING K,YANG Hsinjung,et al.Custom multicache architectures for heap manipulating programs[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2017,36(5):761-774.
[4] LIN Tayjyi,SHYU Tingyu.Speculative lookahead for energy-efficient microprocessors[J].IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2016,24(1):50-57.
[5] 蓝帆,潘赟,严晓浪.用于容错片上网络的可工作性评估框架[J].浙江大学学报,2017,51(7):1437-1445. LAN Fan,PAN Yun,YAN Xiaolang.Workability evaluation framework for fault-tolerant network-on-chip[J].Journal of Zhejiang University,2017,51(7):1437-1445.(in Chinese)
[6] XU Cong,ZHENG Yang,NIU Dimin et al.Impact of write pulse and process variation on 22 nm FINFET-based STT-RAM design:A Device-Architecture Co-Optimization Approach[J].IEEE Transactions on Multi-Scale Computing Systems,2015,1(4):195-206.
[7] ABOLMAALI Sheis,MANSOURI-GHIASI Nika,KAMAL Mehdi,et al.Efficient critical path identification based on viability analysis method considering process variations[J].IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2017,25(9):2668-2672.
[8] CAI Yici,DENG Chao,ZHOU Qiang,et al.Obstacle-avoiding and slew-constrained clock tree synthesis with efficient buffer insertion[J].IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2015,23(1):142-155.
[9] ZHANG Graceli,LI Bing,SCHLICHTMANN Ulf.EffiTest:efficient delay test and statistical prediction for configuring post-silicon tunable buffers[A].Design Automation Conference[C].Austin:IEEE,2016.61-66.
[10] LAK Z,NICOLICI N.A novel algorithmic approach to aid post-silicon delay measurement and clock tuning[J].IEEE Transactions on Computers,2014,63(5):1074-1084.
[11] KHANDELWAL V,SRIVASTAVA A.Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2008,27(4):610-620.
[12] Gurobi Optimization,Inc.Gurobi Optimizer Reference Manual[DB/OL].http://www.gurobi.com/documentation/6.5/refman,2016.12.
[13] LAK Z,NICOLICI N.On using on-chip clock tuning elements to address delay degradation due to circuit aging[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2012,31(12):1845-1856.
[14] LI B,CHEN N,SCHLICHTMANN U.Fast statistical timing analysis for circuits with post-silicon tunable clock buffers[A].International Conference on Computer-Aided Design[C].San Jose:IEEE,2011.111-117.
[15] ZHANG Graceli,LI Bing,LIU Jinglan,et al.Design-phase buffer allocation for post-silicon clock binning by iterative learning[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2017,(99):1-1. |