[1] LI Wei,ZENG Xiaoyang,NAN Longmei,et al.A reconfigurable block cryptographic processor based on VLIW architecture[J].China Communications,2016,13(1):91-99.
[2] 冯晓,李伟,戴紫彬.面向分组密码的可重构异构多核并行处理架构[J].电子学报,2017,45(6):1311-1320. FENG Xiao,LI Wei,DAI Zibin.Reconfigurable asymmetrical multi-core architecture for block cipher[J].Acta Electronica Sinica,2017,45(6):1311-1320.(in Chinese)
[3] SHAO Shengjia,YIN Shouyi,LIU Leibo,et al.Map-reduce inspired loop parallelization on CGRA[A].IEEE International Symposium on Circuits and Systems[C].Melbourne,2014.1231-1234.
[4] LIU Dajiang,YIN Shouyi,LIU Leibo,et al.Polyhedral model based mapping optimization of loop nests for CGRAs[A].Design Automation Conference[C].Austin,2013.1-8.
[5] LIU Dajiang,YIN Shouyi,PENG Yu,et al.Optimizing spatial mapping of nested loop for coarse-grained reconfigurable architectures[J].IEEE Transactions on Very Large Scale Integration Systems,2014,23(11):1-1.
[6] YIN Shouyi,LIU Dajiang,PENG Yu,et al.Improvingnested loop pipelining on coarse-grained reconfigurable architectures[J].IEEE Transactions on Very Large Scale Integration Systems,2015,24(2):1-1.
[7] WARTER-PEREZ,NANCY J,PARTAMIAN N.Modulo scheduling with multiple initiation intervals[A].International Symposium on Microarchitecture[C].Michigan,1995.111-118.
[8] LEE JONG EUN,CHOI K,et al.An algorithm for mapping loops onto coarse-grained reconfigurable architectures[J].ACM SIGPLAN Notices,2003,38(7):183-188.
[9] AKSOY,SELIM.Feature normalization and likelihood-based similarity measures for image retrieval[J].Pattern Recognition Letters,2001,22(5):563-582.
[10] 郭金维,蒲绪强,高祥.一种改进的多目标决策指标权重计算方法[J].西安电子科技大学学报,2014,41(6):118-125. GUO Jinwei,PU Xuqiang,GAO Xiang.Improved method on weights determination of indexes in multi-objective decision[J].Journal of Xidian University,2014,41(6):118-125.(in Chinese)
[11] CANNIERE,CHRISTOPHE DE.Blowfish[J].Encyclopedia of Cryptography & Security,2005:48-49.
[12] 金晨辉,郑浩然.密码学[M].北京:高等教育出版社,2009.146-231. JIN Chenhui,ZHENG Haoran.Cryptography[M].Beijing:Higher Education Press,2009.146-231.(in Chinese)
[13] MATSUI,MITSURU.New block encryption algorithm MISTY[J].Lecture Notes in Computer Science,1997,1267(1267):54-68.
[14] GOLDSTEIN S C,SCHMIT H,Budiu M,et al.PipeRench:A reconfigurable architecture and compiler[J].Computer,2000,33(4):70-77.
[15] 姜晶菲.可重构密码处理结构的研究与设计[D].国防科学技术大学,2004. JIANG jingfei.The Research and Design of Reconfigurable Cipher Processing Architecture[D].National University of Defense Technology,2004.(in Chinese)
[16] LISA WU,CHRIS WEAVER,TODD AUSTIN.CryptoManiac:A fast flexible architecture for secure communication[A].International Symposium on Computer Architecture[C].Sweden,2001.110-119.
[17] WANG Bo,LIU Leibo.Dynamically reconfigurable architecture for symmetric ciphers[J].ScienceChina Information Sciences,2016,59(4):042403.
[18] GOKHAN SAYILAR,DEREK CHIOU.Cryptoraptor:High throughput reconfigurable cryptographic processor[A].International Conference on Computer-Aided Design[C].San Jose,2014.155-161.
[19] BIN LIU,BEVAN M BAAS.Parallel AES encryption engines for many-core processor arrays[J].IEEE Transactions on Computers,2013,62(3):536-547. |